回调即是上车机会的光刻机龙头_时事要闻_景合财经知识网_景合财经景合财经

景合财经
景合财经知识网站

回调即是上车机会的光刻机龙头

作者 | 曦桐城

数据支持 | 勾股大数据(www.gogudata.com)

半导体产业进入重大调整期,集成电路产业的风险与机遇并存。根据WSTS数据,20年全球半导体市场规模达4404亿美元(YoY+6.8%)。同时,受数字经济、物联网、5G等的驱动,预计21年全球半导体市场将迎来15%-20%的增长,整体规模将超过5000亿美元。这仅仅是半导体超级周期的开始,这样的高速增长至少会持续2-3年时间。另外,在各行各业均缺芯的背景下,附上超级周期的加持,对于芯片制造产能的提升,已经到了刻不容缓的地步。而要想生产芯片,就一定离不开有“半导体工业皇冠上的明珠”之称的精密制造设备——光刻机。

一、半导体工业皇冠上的明珠——光刻机

光刻机是晶圆代工中最核心的制造设备,制造成本和技术门槛都很高。作为前道工艺七大设备之首(光刻机、刻蚀机、镀膜设备、量测设备、清洗机、离子注入机、其他设备),光刻机所耗成本极大,在制造设备投资额中单项占比高达20%以上;技术要求极高,涉及精密光学、精密运动、高精度环境控制等多项先进技术。因此,光刻机被誉为半导体工业皇冠上的明珠。作为半导体制造中不可或缺的精密设备,光刻机的工艺水平直接决定了半导体的制程和性能水平。

半导体设备行业门槛极高,处于寡头垄断局面。放眼全球,能够生产光刻机的仅有ASML、尼康、佳能这三家,且集中度非常高,CR3高达99%。其中,ASML一家便占据近90%的市场份额,同时还是EUV(极紫外线)光刻机的独家供应商,可谓是光刻机领域的霸主。然而,ASML 并非一开始就是行业的领头羊:ASML 刚刚创立时,市占率不足 5%,而当时的尼康、GCA 各占 30%,差距悬殊。那么,ASML又是如何实现弯道超车,一步步登上霸主之位的呢?

二、以创新为生命线,不断研发新产品

阿斯麦是一家专注于提供整体光刻解决方案的供应商,致力于为半导体制造商提供光刻机软硬件及相关服务。公司成立于 1984 年,总部位于荷兰费尔德霍芬。ASML 以创新为生命线,不断研发新产品,先后成功研制出双工作台、浸没式 TWINSCAN XT、TWINSCAN NXT 系列、新一代 EUV光刻机 TWINSCAN NXE 系列。

三、攻克浸润式光刻技术,实现技术超车

20世纪90年代干式微影技术发展遇到瓶颈:光刻光源的波长无法从193nm缩短到157nm。当时的两大巨头尼康、佳能选择开发波长更低的光源,而ASML则选择与台积电合作,共同研究以林本坚提出的“浸润式光刻机技术”为基础的光刻机,并于03年研制成功,由此拿下台积电、IBM、英特尔等大客户的订单,市场份额快速提高。07年,公司在光刻设备领域的市占率达60%,成功超越尼康。

四、EUV光刻机市占率百分百,成就霸主之位

05年,摩尔定律陷入停滞,EUV(极紫外)光刻技术被认为是制程突破10nm的关键。但由于攻克该技术难度极高,需要持续且巨额的研发资金支持,尼康和佳能选择了放弃。而ASML仍坚持投入研发,并积极向外寻求研发支持,终于在10年推出全球第一台EUV光刻机NXE:3100,随后于13年和17年陆续推出NXE:3300B和NXE:3400B。至此,ASML奠定了自己的王者地位。据统计,公司近五年时间仅合计交付了90台EUV光刻机,足以见其制造难度。但也正是由于研发、制造难度极大,为阿斯麦提供了独一无二的护城河。目前在高端EUV光刻机领域,ASML处于绝对垄断地位,市占率为100%。

五、打通上下游产业链,战略并购抢占先机

打通上下游产业链,形成稳定利益共同体;借助战略并购,构建完整上游供应链,快速攫取技术领先优势。ASML 一方面通过给予客户优先供货权,吸引客户(英特尔、三星、台积电)注资入股,既可快速掌握市场需求,也降低研发风险;另一方面通过不断的战略并购,打通上游供应链,直接获取成熟的反射镜头光学、EUV光源、电子束晶圆检测、微影镜头等技术领先优势,在保障光刻机质量的同时,还促进了公司技术的创新,使得公司能够快速抢占市场份额。

在外部技术合作方面,ASML 主导打造了囊括外部技术合作伙伴、研究机构、高等院校等的巨大开放式研究网络,并通过建立特有的专利制度管理知识产权和研究成果。其合作伙伴包括比利时的imec,中国的上海集成电路研究开发中心,以及荷兰的特温特大学和纳米光刻高级研究中心等。

六、半导体超级周期加持,新增订单量爆表

美东时间7月21日,阿斯麦(ASML.US)(下称“公司”、“阿斯麦”或“ASML”)公布其Q2财报。据披露,公司Q2净销售额40.20亿欧元(YoY+20.87%),符合指引(40~41亿欧元);受惠于软件升级后带来的降本增效及一次性会计发布,毛利率达50.9%(YoY+2.7ppts),高于指引(49%);净利润为10.38亿欧元(YoY+38.22%),市场预期10.3亿欧元;净利率25.8%(YoY+3.2ppts)。Q2新增订单金额82.71亿欧元,环比大增74.49%,远高于市场预期的27.3亿欧元。其中包括49亿欧元的EUV订单,待履行的订单金额总计达175亿欧元,BB(book-to-bill)值创新高。

21Q2或成为EUV光刻机真正扛起阿斯麦营收大旗的转折点。从光刻机出货量看,EUV光刻机Q2出货9台,环比增加2台,占当季营收45%,超越ArFi光刻机成为最一大营收主力;DUV最先进的产品ArFi光刻机出货16台,环比减少8台,贡献营收34%。同时,阿斯麦第一台全新EUV(极紫外)光刻机TWINSCAN NXE:3600D系统已交付给客户。相较于前一代产品(TWINSCAN NXE:3400C),该系统的生产力提高了15%-20%,且覆盖率(套刻精度)也提高了30%。

另外,为了顺应发展数字基建的趋势,各晶圆厂商急于扩产增效,这使得市场上对高端光刻机的需求持续高涨。而从长期来看,需求并不仅限于先进制程节点,逻辑、存储芯片的传统和成熟节点也存在需求。因此,公司接下来将致力于EUV在存储产业的量产应用,并将计划协助三个 DRAM 客户(三星、海力士、美光)实现在未来的制程节点中导入EUV,这有助于提高客户工厂的晶圆容量,增加产能,进而缓解市场上缺芯的现状。

七、全球缺芯短期难以缓解,高景气度将持续

ASML在披露21Q2的同时,还给出了Q3的业绩指引:公司预计Q3营收在52-54亿欧元区间(YoY+30%),市场预期46.9亿欧元,毛利率为51%-52%;研发成本约为6.45亿欧元,销售和管理成本约为1.8亿欧元。同时,公司还上调了全年营收增速目标至35%,较Q1财报给出的目标30%,又上调了5%。这是阿斯麦第二次上调全年营收目标。

此外,ASML还计划于21年7月22日-23年末期间,实施90亿欧元的股票回购计划,替换了之前计划的在22年执行60亿欧元的股票回购计划。从2012年至今,阿斯麦通过回购+分红回报股东的金额,超过175亿欧元。

鉴于半导体的超级周期,SEMI大幅上修今明两年全球半导体设备支出及规模预估。7月14日,SEMI(国际半导体产业协会)公布年中整体OEM半导体设备预测报告,大幅上修今、明两年全球半导体设备支出及规模预估。其中,21年市场规模由去年底预估的719亿美元大幅上修32%至952.9亿美元,22年市场规模由去年底预估的761亿美元大幅上修33%达1013.1亿美元,首度突破千亿美元大关并创下新高。SEMI表示,这波成长的动能主要来自于半导体厂商对于长期成长相关领域的持续投资,进而带动半导体前端及后端设备市场的扩张。

ASML是半导体设备制造的头部公司,且光刻机是晶圆产线核心设备,其交付和订单情况是行业前瞻指标。未来10年,在AI、5G、大数据、AIOT、自动驾驶等创新的驱动下,半导体终端市场(包括手机电脑、云计算的应用、新型互联设备等市场)的需求将持续增长,并转化为前沿节点的晶圆厂产能的增长,最终推动光刻机需求的增长。ASML预计到25年,公司光刻机低端、中端、高端市场净销售收入将分别达150 亿、130亿及240亿欧元。

格隆汇声明:文中观点均来自原作者,不代表格隆汇观点及立场。特别提醒,投资决策需建立在独立思考之上,本文内容仅供参考,不作为实际操作建议,交易风险自担。

家电维修,空调维修,智能锁维修全国报修号码分享:可以直接拔打400-968-1665 全国各大城市均设网点。
赞(0) 打赏
欢迎转载分享:景合财经 » 回调即是上车机会的光刻机龙头
分享到: 更多 (0)

觉得文章有用就打赏一下文章作者

非常感谢你的打赏,我们将继续给力更多优质内容,让我们一起创建更加美好的网络世界!

支付宝扫一扫打赏

微信扫一扫打赏

-景合财经

在线报修网点查询